[openrtm-commit:02971] r3107 - in branches/RELENG_1_2/OpenRTM-aist: . build examples packages/rpm src/lib/coil/build src/lib/rtm win32/OpenRTM-aist win32/OpenRTM-aist/examples

openrtm @ openrtm.org openrtm @ openrtm.org
2017年 12月 10日 (日) 15:15:08 JST


Author: n-ando
Date: 2017-12-10 15:15:08 +0900 (Sun, 10 Dec 2017)
New Revision: 3107

Added:
   branches/RELENG_1_2/OpenRTM-aist/examples/Throughput/
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/Analyzer/
Modified:
   branches/RELENG_1_2/OpenRTM-aist/Makefile.am
   branches/RELENG_1_2/OpenRTM-aist/build/cmakeconfgen.py
   branches/RELENG_1_2/OpenRTM-aist/build/slntool.py
   branches/RELENG_1_2/OpenRTM-aist/configure.ac
   branches/RELENG_1_2/OpenRTM-aist/packages/rpm/OpenRTM-aist.spec.in
   branches/RELENG_1_2/OpenRTM-aist/src/lib/coil/build/slntool.py
   branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/InPortCorbaCdrProvider.cpp
   branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.cpp
   branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.h
   branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/OutPortCorbaCdrProvider.cpp
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/Makefile.am
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/OpenRTM-aist.sln.dep
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/build.bat.in
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/Makefile.am
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/example.yaml
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.props
   branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.vsprops
Log:
merged changed from trunk/OpenRTM-aist r3037-3038, 3042-3048, 3089 during 2017-09:
[incompat,->RELENG_1_2] omniORB's shortcut POA is applied to data ports. refs #4176
[incompat,->RELENG_1_2] omniORB's shortcut POA is applied to data ports. refs #4176
[compat,->RELENG_1_2] Analyzer sample has been added in windows source package.  refs #4202
[compat,bugfix,->RELENG_1_2] The header setting of the Visual Studio solution file has been fixed.  refs #4201 and #4172
[compat,->RELENG_1_2] vc2017 version has been changed from vc15 to vc141.  refs #4172
[compat,->RELENG_1_2] vc2017 version has been changed from vc15 to vc141.  refs #4172
[compat,rtc.conf,->RELENG_1_2] A bug in preconnection option parser, which crash when ConnectorProfiles are not given or invalid format, fixed.
[compat,->RELENG_1_2] When executing cmake, it is not influenced by the system environment variable. refs #4171
[compat,example,->RELENG_1_2] Throughput measurement component example added.
[incompat,->RELENG_1_2] Extension module header files have been added to rpm package.  refs #4368


Modified: branches/RELENG_1_2/OpenRTM-aist/Makefile.am
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/Makefile.am	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/Makefile.am	2017-12-10 06:15:08 UTC (rev 3107)
@@ -9,7 +9,7 @@
 
 SUBDIRS = build src utils examples etc $(DOCS) win32
 
-export VC_VERSION := 10 11 12 14 15
+export VC_VERSION := 10 11 12 14 141
 
 DIST_SUBDIRS = packages $(SUBDIRS)
 

Modified: branches/RELENG_1_2/OpenRTM-aist/build/cmakeconfgen.py
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/build/cmakeconfgen.py	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/build/cmakeconfgen.py	2017-12-10 06:15:08 UTC (rev 3107)
@@ -71,11 +71,13 @@
 set(OPENRTM_VERSION_PATCH [openrtm_version_patch])
 set(OPENRTM_SHORT_VERSION [openrtm_short_version])
 
-string(REPLACE "\\\\" "/" OMNIORB_DIR "$ENV{OMNI_ROOT}")
 string(REPLACE "\\\\" "/" OPENRTM_DIR "$ENV{RTM_ROOT}")
-string(REGEX REPLACE "/$" "" OMNIORB_DIR "${OMNIORB_DIR}")
 string(REGEX REPLACE "/$" "" OPENRTM_DIR "${OPENRTM_DIR}")
 
+# omniORB version
+set(OMNIORB_VERSION [omniorb_version])
+set(OMNIORB_DIR "${OPENRTM_DIR}/omniORB/${OMNIORB_VERSION}_${RTM_VC_VER}")
+
 # omniORB options
 set(OMNIORB_CFLAGS [omniorb_cflags])
 set(OMNIORB_INCLUDE_DIRS [omniorb_include_dirs])
@@ -230,6 +232,7 @@
     dict["omniorb_ldflags"] = ""
     dict["omniorb_library_dirs"] = dict["omni_libdir"]
     dict["omniorb_libraries"] = omni_libs
+    dict["omniorb_version"] = str(dict["omni_version"])
 
     dict["openrtm_cflags"] = rtm_cflags
     dict["openrtm_include_dirs"] = str(dict["rtm_includes"])

Modified: branches/RELENG_1_2/OpenRTM-aist/build/slntool.py
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/build/slntool.py	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/build/slntool.py	2017-12-10 06:15:08 UTC (rev 3107)
@@ -26,9 +26,9 @@
               "VC9": {"sln": "10.00", "vc": "2008"},
               "VC10": {"sln": "11.00", "vc": "2010"},
               "VC11": {"sln": "12.00", "vc": "2012"},
-              "VC12": {"sln": "13.00", "vc": "2013"},
-              "VC14": {"sln": "14.00", "vc": "2015"},
-              "VC15": {"sln": "15.00", "vc": "2017"},
+              "VC12": {"sln": "12.00", "vc": "2013"},
+              "VC14": {"sln": "12.00", "vc": "14"},
+              "VC141": {"sln": "12.00", "vc": "15"},
               }
 sln_template = """Microsoft Visual Studio Solution File, Format Version %s
 # Visual Studio %s
@@ -83,7 +83,7 @@
   slntool.py --dep dep_file [--outfile outfile] vcproj_files...
 
 Options:
-    --vcversion: Visual C++'s version [VC8|VC9|VC10|VC11|VC12|VC14|VC15]
+    --vcversion: Visual C++'s version [VC8|VC9|VC10|VC11|VC12|VC14|VC141]
     --dep: dependency file
     --out or --output: output file name
 
@@ -119,7 +119,7 @@
               "VC11": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
               "VC12": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
               "VC14": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
-              "VC15": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
+              "VC141": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
              }
     re_guid = re.compile(regexs[vcversion]["guid"])
     re_name = re.compile(regexs[vcversion]["name"])

Modified: branches/RELENG_1_2/OpenRTM-aist/configure.ac
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/configure.ac	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/configure.ac	2017-12-10 06:15:08 UTC (rev 3107)
@@ -1690,6 +1690,7 @@
 	examples/SimpleService/Makefile
 	examples/ExtTrigger/Makefile
 	examples/Templates/Makefile
+	examples/Throughput/Makefile
 	examples/tests/Makefile
 	docs/Makefile
 	win32/Makefile
@@ -1700,6 +1701,7 @@
 	win32/OpenRTM-aist/docs/Makefile
 	win32/OpenRTM-aist/build/Makefile
 	win32/OpenRTM-aist/examples/Makefile
+	win32/OpenRTM-aist/examples/Analyzer/Makefile
 	win32/OpenRTM-aist/examples/Composite/Makefile
 	win32/OpenRTM-aist/examples/ConfigSample/Makefile
 	win32/OpenRTM-aist/examples/ExtTrigger/Makefile

Modified: branches/RELENG_1_2/OpenRTM-aist/packages/rpm/OpenRTM-aist.spec.in
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/packages/rpm/OpenRTM-aist.spec.in	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/packages/rpm/OpenRTM-aist.spec.in	2017-12-10 06:15:08 UTC (rev 3107)
@@ -87,6 +87,37 @@
 %install
 export DONT_STRIP=1
 %{__make} DESTDIR=%{buildroot} install
+%define ext_dir $RPM_BUILD_ROOT%{rtm_includedir}/rtm/ext
+mkdir -p %{ext_dir}/ec/artlinux
+cp %{_topdir}/../../src/ext/ec/artlinux/*.h %{ext_dir}/ec/artlinux
+cp %{_topdir}/../../src/ext/ec/artlinux/rtc.conf* %{ext_dir}/ec/artlinux
+mkdir -p %{ext_dir}/ec/logical_time/idl
+cp %{_topdir}/../../src/ext/ec/logical_time/*.h %{ext_dir}/ec/logical_time
+cp %{_topdir}/../../src/ext/ec/logical_time/*.idl %{ext_dir}/ec/logical_time
+cp %{_topdir}/../../src/ext/ec/logical_time/*ECS*.cpp %{ext_dir}/ec/logical_time
+cp %{_topdir}/../../src/ext/ec/logical_time/idl/*.hh %{ext_dir}/ec/logical_time/idl
+cp %{_topdir}/../../src/ext/ec/logical_time/idl/*.idl %{ext_dir}/ec/logical_time/idl
+cp %{_topdir}/../../src/ext/ec/logical_time/idl/*.cc %{ext_dir}/ec/logical_time/idl
+mkdir -p %{ext_dir}/ec/rtpreempt
+cp %{_topdir}/../../src/ext/ec/rtpreempt/*.h %{ext_dir}/ec/rtpreempt
+cp %{_topdir}/../../src/ext/ec/rtpreempt/rtc.conf* %{ext_dir}/ec/rtpreempt
+mkdir -p %{ext_dir}/local_service/nameservice_file
+cp %{_topdir}/../../src/ext/local_service/nameservice_file/*.h %{ext_dir}/local_service/nameservice_file
+mkdir -p %{ext_dir}/logger/fluentbit_stream
+cp %{_topdir}/../../src/ext/logger/fluentbit_stream/*.h %{ext_dir}/logger/fluentbit_stream
+cp %{_topdir}/../../src/ext/logger/fluentbit_stream/*.conf %{ext_dir}/logger/fluentbit_stream
+mkdir -p %{ext_dir}/sdo/logger
+cp %{_topdir}/../../src/ext/sdo/logger/*.h* %{ext_dir}/sdo/logger
+cp %{_topdir}/../../src/ext/sdo/logger/*.idl %{ext_dir}/sdo/logger
+cp %{_topdir}/../../src/ext/sdo/logger/*.cc %{ext_dir}/sdo/logger
+cp %{_topdir}/../../src/ext/sdo/logger/*S*.cpp %{ext_dir}/sdo/logger
+mkdir -p %{ext_dir}/sdo/observer/idl
+cp %{_topdir}/../../src/ext/sdo/observer/*.h %{ext_dir}/sdo/observer
+cp %{_topdir}/../../src/ext/sdo/observer/*.idl %{ext_dir}/sdo/observer
+cp %{_topdir}/../../src/ext/sdo/observer/*S*.cpp %{ext_dir}/sdo/observer
+cp %{_topdir}/../../src/ext/sdo/observer/idl/*.hh %{ext_dir}/sdo/observer/idl
+cp %{_topdir}/../../src/ext/sdo/observer/idl/*.idl %{ext_dir}/sdo/observer/idl
+cp %{_topdir}/../../src/ext/sdo/observer/idl/*.cc %{ext_dir}/sdo/observer/idl
 
 #------------------------------------------------------------
 # clean section

Modified: branches/RELENG_1_2/OpenRTM-aist/src/lib/coil/build/slntool.py
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/src/lib/coil/build/slntool.py	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/src/lib/coil/build/slntool.py	2017-12-10 06:15:08 UTC (rev 3107)
@@ -26,9 +26,9 @@
               "VC9": {"sln": "10.00", "vc": "2008"},
               "VC10": {"sln": "11.00", "vc": "2010"},
               "VC11": {"sln": "12.00", "vc": "2012"},
-              "VC12": {"sln": "13.00", "vc": "2013"},
-              "VC14": {"sln": "14.00", "vc": "2015"},
-              "VC15": {"sln": "15.00", "vc": "2017"},
+              "VC12": {"sln": "12.00", "vc": "2013"},
+              "VC14": {"sln": "12.00", "vc": "14"},
+              "VC141": {"sln": "12.00", "vc": "15"},
               }
 sln_template = """Microsoft Visual Studio Solution File, Format Version %s
 # Visual Studio %s
@@ -83,7 +83,7 @@
   slntool.py --dep dep_file [--outfile outfile] vcproj_files...
 
 Options:
-    --vcversion: Visual C++'s version [VC8|VC9|VC10|VC11|VC12|VC14|VC15]
+    --vcversion: Visual C++'s version [VC8|VC9|VC10|VC11|VC12|VC14|VC141]
     --dep: dependency file
     --out or --output: output file name
 
@@ -119,7 +119,7 @@
               "VC11": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
               "VC12": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
               "VC14": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
-              "VC15": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
+              "VC141": {"guid":'^.*?<ProjectGuid>{(.*)}</ProjectGuid>',"name":'^.*<ProjectName>(.*)</ProjectName>'},
              }
     re_guid = re.compile(regexs[vcversion]["guid"])
     re_name = re.compile(regexs[vcversion]["name"])

Modified: branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/InPortCorbaCdrProvider.cpp
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/InPortCorbaCdrProvider.cpp	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/InPortCorbaCdrProvider.cpp	2017-12-10 06:15:08 UTC (rev 3107)
@@ -39,6 +39,10 @@
     setInterfaceType("corba_cdr");
     
     // ConnectorProfile setting
+
+#ifdef ORB_IS_OMNIORB
+    ::RTC::Manager::instance().theShortCutPOA()->activate_object(this);
+#endif
     m_objref = this->_this();
     
     // set InPort's reference
@@ -64,8 +68,13 @@
     try
       {
         PortableServer::ObjectId_var oid;
+#ifdef ORB_IS_OMNIORB
+        oid = ::RTC::Manager::instance().theShortCutPOA()->servant_to_id(this);
+        ::RTC::Manager::instance().theShortCutPOA()->deactivate_object(oid);
+#else
         oid = _default_POA()->servant_to_id(this);
         _default_POA()->deactivate_object(oid);
+#endif
       }
     catch (PortableServer::POA::ServantNotActive &e)
       {

Modified: branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.cpp
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.cpp	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.cpp	2017-12-10 06:15:08 UTC (rev 3107)
@@ -399,7 +399,7 @@
       }
 
     { // pre-connection
-      RTC_TRACE(("Connection pre-creation: %s",
+      RTC_TRACE(("Connection pre-connection: %s",
                  m_config["manager.components.preconnect"].c_str()));
       std::vector<std::string> connectors;
       connectors = coil::split(m_config["manager.components.preconnect"], ",");
@@ -407,7 +407,14 @@
         {
           // ConsoleIn.out:Console.in(dataflow_type=push,....)
           coil::vstring conn_prop = coil::split(connectors[i], "(");
-          coil::replaceString(conn_prop[1], ")", "");
+          if (conn_prop.size() == 1)
+            {
+              conn_prop.   // default connector profile value
+                push_back("dataflow_type=push&interface_type=corba_cdr");
+            } // after this conn_prop.size() >= 2
+          std::size_t pos = conn_prop[1].find_last_of(")");
+          if (pos != std::string::npos) { conn_prop[1].erase(pos); }
+
           coil::vstring comp_ports;
           comp_ports = coil::split(conn_prop[0], ":");
           if (comp_ports.size() != 2)
@@ -1195,6 +1202,13 @@
     RTC_TRACE(("Manager::thePOA()"));
     return m_pPOA.in();
   }
+#ifdef ORB_IS_OMNIORB
+  PortableServer::POA_ptr Manager::theShortCutPOA()
+  {
+    RTC_TRACE(("Manager::theShortCutPOA()"));
+    return m_pShortCutPOA.in();
+  }
+#endif
   /*!
    * @if jp
    * @brief Manager ¤¬»ý¤Ä RootPOA ¤Î¥Ý¥¤¥ó¥¿¤ò¼èÆÀ¤¹¤ë (½êÍ­¸¢Ê£À½)
@@ -1207,6 +1221,13 @@
     RTC_TRACE(("Manager::getPOA()"));
     return PortableServer::POA::_duplicate(m_pPOA);
   }
+#ifdef ORB_IS_OMNIORB
+  PortableServer::POA_ptr Manager::getShortCutPOA()
+  {
+    RTC_TRACE(("Manager::getPOA()"));
+    return PortableServer::POA::_duplicate(m_pShortCutPOA);
+  }
+#endif
   
   /*!
    * @if jp
@@ -1530,6 +1551,18 @@
 	  }
 	// Get the POAManager
 	m_pPOAManager = m_pPOA->the_POAManager();
+#ifdef ORB_IS_OMNIORB
+	CORBA::PolicyList pl;
+	pl.length(1);
+#ifdef RTM_OMNIORB_42
+	pl[0] = omniPolicy::create_local_shortcut_policy(omniPolicy::LOCAL_CALLS_SHORTCUT);
+#else
+	CORBA::Any v;
+	v <<= omniPolicy::LOCAL_CALLS_SHORTCUT;
+	pl[0] = m_pORB->create_policy(omniPolicy::LOCAL_SHORTCUT_POLICY_TYPE, v);
+#endif
+	m_pShortCutPOA = m_pPOA->create_POA("shortcut", m_pPOAManager, pl);
+#endif
 
 #ifdef ORB_IS_OMNIORB
         const char* conf = "corba.alternate_iiop_addresses";

Modified: branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.h
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.h	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/Manager.h	2017-12-10 06:15:08 UTC (rev 3107)
@@ -1015,6 +1015,9 @@
      */
 
     PortableServer::POA_ptr thePOA();
+#ifdef ORB_IS_OMNIORB
+    PortableServer::POA_ptr theShortCutPOA();
+#endif
     /*!
      * @if jp
      * @brief Manager ¤¬»ý¤Ä RootPOA ¤Î¥Ý¥¤¥ó¥¿¤ò¼èÆÀ¤¹¤ë (½êÍ­¸¢Ê£À½)
@@ -1052,6 +1055,9 @@
      * @endif
      */
     PortableServer::POA_ptr getPOA();
+#ifdef ORB_IS_OMNIORB
+    PortableServer::POA_ptr getShortCutPOA();
+#endif
 
     /*!
      * @if jp
@@ -1805,6 +1811,9 @@
      * @endif
      */
     PortableServer::POA_var m_pPOA;
+#ifdef ORB_IS_OMNIORB
+    PortableServer::POA_var m_pShortCutPOA;
+#endif
     
     /*!
      * @if jp

Modified: branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/OutPortCorbaCdrProvider.cpp
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/OutPortCorbaCdrProvider.cpp	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/src/lib/rtm/OutPortCorbaCdrProvider.cpp	2017-12-10 06:15:08 UTC (rev 3107)
@@ -39,6 +39,9 @@
     setInterfaceType("corba_cdr");
     
     // ConnectorProfile setting
+#ifdef ORB_IS_OMNIORB
+    ::RTC::Manager::instance().theShortCutPOA()->activate_object(this);
+#endif
     m_objref = this->_this();
     
     // set outPort's reference
@@ -70,8 +73,13 @@
     try
       {
         PortableServer::ObjectId_var oid;
+#ifdef ORB_IS_OMNIORB
+        oid = ::RTC::Manager::instance().theShortCutPOA()->servant_to_id(this);
+        ::RTC::Manager::instance().theShortCutPOA()->deactivate_object(oid);
+#else
         oid = _default_POA()->servant_to_id(this);
         _default_POA()->deactivate_object(oid);
+#endif
       }
     catch (PortableServer::POA::ServantNotActive &e)
       {

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/Makefile.am
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/Makefile.am	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/Makefile.am	2017-12-10 06:15:08 UTC (rev 3107)
@@ -17,7 +17,7 @@
 	rm -f coil_config.props
 	rm -f build.bat
 
-sln: OpenRTM-aist_vc9.sln OpenRTM-aist_vc10.sln OpenRTM-aist_vc11.sln OpenRTM-aist_vc12.sln OpenRTM-aist_vc14.sln OpenRTM-aist_vc15.sln
+sln: OpenRTM-aist_vc9.sln OpenRTM-aist_vc10.sln OpenRTM-aist_vc11.sln OpenRTM-aist_vc12.sln OpenRTM-aist_vc14.sln OpenRTM-aist_vc141.sln
 
 OpenRTM-aist_vc9.sln:
 	$(top_srcdir)/build/slntool.py     \
@@ -59,13 +59,13 @@
 		`find ./ -name '*_vc14.vcxproj' \! -name 'USB*.vcxproj' \! -name 'LTT*.vcxproj' \! -name 'LogicalTime*.vcxproj' \! -name 'FileName*.vcxproj'`
 	qkc -O- -ms OpenRTM-aist_vc14.sln
 
-OpenRTM-aist_vc15.sln:
+OpenRTM-aist_vc141.sln:
 	$(top_srcdir)/build/slntool.py      \
-		--vcversion VC15            \
+		--vcversion VC141            \
 		--dep OpenRTM-aist.sln.dep  \
-		--out OpenRTM-aist_vc15.sln \
-		`find ./ -name '*_vc15.vcxproj' \! -name 'USB*.vcxproj' \! -name 'LTT*.vcxproj' \! -name 'LogicalTime*.vcxproj' \! -name 'FileName*.vcxproj'`
-	qkc -O- -ms OpenRTM-aist_vc15.sln
+		--out OpenRTM-aist_vc141.sln \
+		`find ./ -name '*_vc141.vcxproj' \! -name 'USB*.vcxproj' \! -name 'LTT*.vcxproj' \! -name 'LogicalTime*.vcxproj' \! -name 'FileName*.vcxproj'`
+	qkc -O- -ms OpenRTM-aist_vc141.sln
 
 vsprops:  coil_distbuild.vsprops coil_config.vsprops coil_distbuild.props coil_config.props
 

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/OpenRTM-aist.sln.dep
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/OpenRTM-aist.sln.dep	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/OpenRTM-aist.sln.dep	2017-12-10 06:15:08 UTC (rev 3107)
@@ -36,3 +36,7 @@
 ExtConsoleOut: libcoil libRTCSkel libRTC
 ExtConsoleOutComp: libcoil libRTCSkel libRTC
 ExtConnectorComp: libcoil libRTCSkel libRTC
+Analyzer: libcoil libRTCSkel libRTC
+AnalyzerComp: libcoil libRTCSkel libRTC
+Analyzer_test: libcoil libRTCSkel libRTC
+Analyzer_testComp: libcoil libRTCSkel libRTC

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/build.bat.in
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/build.bat.in	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/build.bat.in	2017-12-10 06:15:08 UTC (rev 3107)
@@ -124,7 +124,7 @@
    set PLATFORMTOOL=/p:PlatformToolset=v140
    goto MSBUILDx86
    )
-if %VC_VERSION% == 15 (
+if %VC_VERSION% == 141 (
    call "C:\Program Files (x86)\Microsoft Visual Studio\2017\Community\VC\Auxiliary\Build\vcvarsall.bat" x86
    set VCTOOLSET=15.0
    set PLATFORMTOOL=/p:PlatformToolset=v141
@@ -193,7 +193,7 @@
    set PLATFORMTOOL=/p:PlatformToolset=v140
    goto MSBUILDx64
    )
-if /i %VC_VERSION% == 15 (
+if /i %VC_VERSION% == 141 (
    call "C:\Program Files (x86)\Microsoft Visual Studio\2017\Community\VC\Auxiliary\Build\vcvarsall.bat" amd64
    set VCTOOLSET=15.0
    set PLATFORMTOOL=/p:PlatformToolset=v141

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/Makefile.am
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/Makefile.am	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/Makefile.am	2017-12-10 06:15:08 UTC (rev 3107)
@@ -4,7 +4,7 @@
 ##
 ## $Id$
 ##---------------------------------------------------------------------------
-SUBDIRS = Composite ConfigSample ExtTrigger LTTSample SimpleIO SeqIO SimpleService USBCamera .
+SUBDIRS = Analyzer Composite ConfigSample ExtTrigger LTTSample SimpleIO SeqIO SimpleService USBCamera .
 
 clean-local:
 	rm -f *~

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/example.yaml
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/example.yaml	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/examples/example.yaml	2017-12-10 06:15:08 UTC (rev 3107)
@@ -125,4 +125,22 @@
       Name: ExtConsoleOutComp.exe
       Source: ..\\examples\\ExtTrigger\\ConsoleOutComp\\Release\\ExtConsoleOutComp.exe
       Shortcut: true
+    - Id: AnalyzerComp.exe
+      ShortName: Anly.exe
+      Name: AnalyzerComp.exe
+      Source: ..\\examples\\Analyzer\\AnalyzerComp\\Release\\AnalyzerComp.exe
+      Shortcut: true
+    - Id: Analyzer_testComp.exe
+      ShortName: Anlytest.exe
+      Name: Analyzer_testComp.exe
+      Source: ..\\examples\\Analyzer\\Analyzer_testComp\\Release\\Analyzer_testComp.exe
+      Shortcut: true
+    - Id: Analyzer.dll
+      ShortName: Anly.dll
+      Name: Analyzer.dll
+      Source: ..\\examples\\Analyzer\\Analyzer\\Release\\Analyzer.dll
+    - Id: Analyzer_test.dll
+      ShortName: Anlytest.dll
+      Name: Analyzer_test.dll
+      Source: ..\\examples\\Analyzer\\Analyzer_test\\Release\\Analyzer_test.dll
 

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.props
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.props	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.props	2017-12-10 06:15:08 UTC (rev 3107)
@@ -1,6 +1,7 @@
 <?xml version="1.0" encoding="utf-8"?>
 <Project DefaultTargets="Build" ToolsVersion="4.0" xmlns="http://schemas.microsoft.com/developer/msbuild/2003">
   <PropertyGroup Label="UserMacros">
+    <omni_version>$(OMNI_VERSION)</omni_version>
     <omni_dllver>$(OMNI_DLLVER)</omni_dllver>
     <omnithread_dllver>$(OMNITHREAD_DLLVER)</omnithread_dllver>
     <rtm_dllver>$(RTM_DLLVER)</rtm_dllver>
@@ -42,6 +43,9 @@
     <BuildMacro Include="omni_root">
       <Value>$(omni_root)</Value>
     </BuildMacro>
+    <BuildMacro Include="omni_version">
+      <Value>$(omni_version)</Value>
+    </BuildMacro>
     <BuildMacro Include="omni_dllver">
       <Value>$(omni_dllver)</Value>
     </BuildMacro>

Modified: branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.vsprops
===================================================================
--- branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.vsprops	2017-12-10 06:12:15 UTC (rev 3106)
+++ branches/RELENG_1_2/OpenRTM-aist/win32/OpenRTM-aist/rtm_config.vsprops	2017-12-10 06:15:08 UTC (rev 3107)
@@ -18,6 +18,10 @@
 		Value="%OMNI_ROOT%"
 	/>
 	<UserMacro
+		Name="omni_version"
+		Value="%OMNI_VERSION%"
+	/>
+	<UserMacro
 		Name="omni_dllver"
 		Value="%OMNI_DLLVER%"
 	/>



More information about the openrtm-commit mailing list